Tagsigrok

Работа с Simulavr: Автономное тестирование с использованием Verilog

В предыдущей статье мы узнали какого это компилировать simulavr. Попробовали работать с ним в пошаговом режиме через gdb.

Вызывали прерывания, вручную меняли регистры, вызывали прерывания. Теперь давайте протестируем всё в автоматическом режиме. Вот и задача подвернулась есть датчик DHT11 он работает на однопроводном интерфейсе задача симулировать работу с ним.

Также немного поработаем с декодировщиком sigrok в offline режиме(т.е. без самого логического анализатора).

simulavr_pro_intro_final.jpg

Читать далее

Открываем видео канал!

Последние наблюдения, а также просьбы знакомых и собственные обещания, всё это говорит об одном. Работу с графическим интерфейсом слишком затратно показывать в статьях. Организовывать мастер-классы конечно можно, но видео оттуда вряд ли подойдет для обучающего материала, а также требует напряжения сил множества людей в один день. Поэтому я решил создать видеоканал специально для всего этого(открытый инженерный софт и эксперименты с ним), планов на него много, нас конечно сдерживает лицензии на музыку, Вы бы знали как невыносимо слушать свой голос часами.

От статей не отказываемся, все таки емкая статья с инструкциями занимает гораздо меньше времени и места, чем видео.

На данный момент выложено два видео.

Первое, это пример работы с логическим анализатором и свободным софтом — sigrok который его обслуживает.

Второе, это начало уроков по KiCAD, надеялся за выходные записать, всё, но не вышло. Зато возможно в следующие выходные дадут микрофон нормальный потестировать.

Читать далее

© 2024 Crafting.be

Шаблон от Anders NorénВверх ↑